object Pipe

A factory to generate a hardware pipe. This can be used to delay Valid data by a design-time configurable number of cycles.

Here, we construct three different pipes using the different provided apply methods and hook them up together. The types are explicitly specified to show that these all communicate using Valid interfaces:

val in: Valid[UInt]  = Wire(Valid(UInt(2.W)))

/* A zero latency (combinational) pipe is connected to 'in' */
val foo: Valid[UInt] = Pipe(in.valid, in.bits, 0)

/* A one-cycle pipe is connected to the output of 'foo' */
val bar: Valid[UInt] = Pipe(foo.valid, foo.bits)

/* A two-cycle pipe is connected to the output of 'bar' */
val baz: Valid[UInt] = Pipe(bar, 2)
Source
Valid.scala
See also

Pipe class for an alternative API

Valid interface

Queue and the Queue factory for actual queues

The ShiftRegister factory to generate a pipe without a Valid interface

Linear Supertypes
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. Pipe
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Value Members

  1. final def !=(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  2. final def ##: Int
    Definition Classes
    AnyRef → Any
  3. final def ==(arg0: Any): Boolean
    Definition Classes
    AnyRef → Any
  4. def apply[T <: Data](enq: Valid[T], latency: Int = 1): Valid[T]

    Generate a pipe for a Valid interface

    Generate a pipe for a Valid interface

    enq

    a Valid interface (must be a hardware type)

    latency

    the number of pipeline stages

    returns

    the Valid output of the final pipeline stage

  5. def apply[T <: Data](enqValid: Bool, enqBits: T): Valid[T]

    Generate a one-stage pipe from an explicit valid bit and some data

    Generate a one-stage pipe from an explicit valid bit and some data

    enqValid

    the valid bit (must be a hardware type)

    enqBits

    the data (must be a hardware type)

    returns

    the Valid output of the final pipeline stage

  6. def apply[T <: Data](enqValid: Bool, enqBits: T, latency: Int): Valid[T]

    Generate a pipe from an explicit valid bit and some data

    Generate a pipe from an explicit valid bit and some data

    enqValid

    the valid bit (must be a hardware type)

    enqBits

    the data (must be a hardware type)

    latency

    the number of pipeline stages

    returns

    the Valid output of the final pipeline stage

  7. final def asInstanceOf[T0]: T0
    Definition Classes
    Any
  8. def clone(): AnyRef
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.CloneNotSupportedException]) @native()
  9. final def eq(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  10. def equals(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef → Any
  11. def finalize(): Unit
    Attributes
    protected[lang]
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.Throwable])
  12. final def getClass(): Class[_ <: AnyRef]
    Definition Classes
    AnyRef → Any
    Annotations
    @native()
  13. def hashCode(): Int
    Definition Classes
    AnyRef → Any
    Annotations
    @native()
  14. final def isInstanceOf[T0]: Boolean
    Definition Classes
    Any
  15. final def ne(arg0: AnyRef): Boolean
    Definition Classes
    AnyRef
  16. final def notify(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native()
  17. final def notifyAll(): Unit
    Definition Classes
    AnyRef
    Annotations
    @native()
  18. final def synchronized[T0](arg0: => T0): T0
    Definition Classes
    AnyRef
  19. def toString(): String
    Definition Classes
    AnyRef → Any
  20. final def wait(): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  21. final def wait(arg0: Long, arg1: Int): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException])
  22. final def wait(arg0: Long): Unit
    Definition Classes
    AnyRef
    Annotations
    @throws(classOf[java.lang.InterruptedException]) @native()

Inherited from AnyRef

Inherited from Any

Ungrouped