Skip to main content

Chisel

Software-defined hardware

Scala

Chisel is powered by Scala and brings all the power of object-oriented and functional programming to type-safe hardware design and generation.

Chisel

Chisel, the Chisel standard library, and Chisel testing infrastructure enable agile, expressive, and reusable hardware design methodologies.

FIRRTL

The FIRRTL circuit compiler starts after Chisel and enables backend (FPGA, ASIC, technology) specialization, automated circuit transformation, and Verilog generation.