Packages

  • package root
    Definition Classes
    root
  • package chisel3

    This package contains the main chisel3 API.

    This package contains the main chisel3 API.

    Definition Classes
    root
  • package aop
    Definition Classes
    chisel3
  • package choice

    This package contains Chisel language definitions for describing configuration options and their accepted values.

    This package contains Chisel language definitions for describing configuration options and their accepted values.

    Definition Classes
    chisel3
  • package connectable
    Definition Classes
    chisel3
  • package experimental

    Package for experimental features, which may have their API changed, be removed, etc.

    Package for experimental features, which may have their API changed, be removed, etc.

    Because its contents won't necessarily have the same level of stability and support as non-experimental, you must explicitly import this package to use its contents.

    Definition Classes
    chisel3
  • package interface
    Definition Classes
    chisel3
  • package ltl
    Definition Classes
    chisel3
  • package naming
    Definition Classes
    chisel3
  • package probe
    Definition Classes
    chisel3
  • package properties

    The properties package includes functionality related to non-hardware data.

    The properties package includes functionality related to non-hardware data.

    This entire package is currently very experimental, so expect some rough edges and rapid API evolution.

    Definition Classes
    chisel3
  • AnyClassType
  • Class
  • ClassType
  • DynamicObject
  • Path
  • Property
  • PropertyArithmeticOps
  • PropertyType
  • package reflect
    Definition Classes
    chisel3
  • package simulator
    Definition Classes
    chisel3
  • package stage
    Definition Classes
    chisel3
  • package std
    Definition Classes
    chisel3
  • package testers

    The testers package provides the basic interface for chisel testers.

    The testers package provides the basic interface for chisel testers.

    Definition Classes
    chisel3
  • package util

    The util package provides extensions to core chisel for common hardware components and utility functions

    The util package provides extensions to core chisel for common hardware components and utility functions

    Definition Classes
    chisel3
p

chisel3

properties

package properties

The properties package includes functionality related to non-hardware data.

This entire package is currently very experimental, so expect some rough edges and rapid API evolution.

Source
package.scala
Linear Supertypes
Ordering
  1. Alphabetic
  2. By Inheritance
Inherited
  1. properties
  2. AnyRef
  3. Any
  1. Hide All
  2. Show All
Visibility
  1. Public
  2. Protected

Type Members

  1. sealed trait AnyClassType extends AnyRef
  2. class Class extends BaseModule

    Represents a user-defined Class, which is a module-like container of properties.

    Represents a user-defined Class, which is a module-like container of properties.

    A Class has ports like a hardware module, but its ports must be of Property type.

    Within a Class body, ports may be connected and other Classes may be instantiated. This means classes cannot construct hardware, only graphs of non-hardware Property information.

  3. case class ClassType extends Product with Serializable

    Represent a Class type for referencing a Class in a Property[ClassType]

  4. class DynamicObject extends HasId with NamedComponent

    Represents an instance of a Class.

    Represents an instance of a Class.

    This cannot be instantiated directly, instead see Class.unsafeGetDynamicObject.

    The DynamicObject is generally unsafe, in that its getField method does not check the name, type, or direction of the accessed field. It may be used with care, and a more typesafe version called StaticObject has been added, which works with the Definition / Instance APIs.

    To create a DynamicObject directly, wrap a Class with DynamicObject.apply. For example:

    val obj = DynamicObject(new Class {
      override def desiredName = "Test"
      val in = IO(Input(Property[Int]()))
      val out = IO(Output(Property[Int]()))
      out := in
    })
  5. sealed abstract class Path extends AnyRef

    Represent a Path type for referencing a hardware instance or member in a Property[Path]

  6. sealed trait Property[T] extends Element

    Property is the base type for all properties.

    Property is the base type for all properties.

    Properties are similar to normal Data types in that they can be used in ports, connected to other properties, etc. However, they are used to describe a set of non-hardware types, so they have no width, cannot be used in aggregate Data types, and cannot be connected to Data types.

  7. sealed trait PropertyArithmeticOps[T] extends AnyRef

    Typeclass for Property arithmetic.

    Typeclass for Property arithmetic.

    Annotations
    @implicitNotFound()
  8. sealed trait PropertyType[T] extends AnyRef

    PropertyType defines a typeclass for valid Property types.

    PropertyType defines a typeclass for valid Property types.

    Typeclass instances will be defined for Scala types that can be used as properties. This includes builtin Scala types as well as types defined in Chisel.

    Annotations
    @implicitNotFound()

Value Members

  1. object AnyClassType
  2. object Class
  3. object ClassType extends Serializable
  4. object DynamicObject
  5. object Path
  6. object Property

    Companion object for Property.

  7. object PropertyArithmeticOps

Inherited from AnyRef

Inherited from Any

Ungrouped